试题详情
简答题什么是移位寄存器,在运行程序时有甚么作用,如何建立一个移位寄存器,一个移位寄存器的左右端子数是否一定相等
  • (shiftregister)用于for loop循环或while loop循环中从一个迭代传输数据到下一个迭代,它由循环垂直边框上
    一对方向相反的端子组成,通过从左或右循环边框上弹出的快捷菜单中的“add shiftregister”命令,可以创建移位
    寄存器。同一个移位寄存器的左右端子数不一定相等,但是数据类型必须相同。
  • 关注下方微信公众号,在线模考后查看

热门试题