试题详情
简答题Load-Store结构
  • 处理器内部设置较多的通用寄存器,使多数操作(算术逻辑运算)都在寄存器与寄存器之间,只有“取数Load”和“存数Store”指令访问存储器。或者说,访问存储器只能通过Load和Store指令实现。
  • 关注下方微信公众号,在线模考后查看

热门试题