试题详情
简答题设计一个“四舍五入”电路。该电路输入为1位十进制数的8421码,当其值大于或等于5时,输出F的值为1,否则F的值为0。
  • 关注下方微信公众号,在线模考后查看

热门试题