试题详情
简答题VHDL语言构造体的描述方式有哪几种?试述各自的特点。
  • 1,行为描述:采用进程语句,顺序描述被称为设计实体的行为,
    2,数据流描述(寄存器传输描述):采用进程语句。顺序描述数据流在控制流作用下被加工处理,存储的全过程
  • 关注下方微信公众号,在线模考后查看

热门试题