试题详情
简答题一个信号处于高阻(三态)时的值在VHDL中描述为()。
  • ‘Z’
  • 关注下方微信公众号,在线模考后查看

热门试题