试题详情
简答题简述VHDL程序的基本结构。
  • 库 library ieee;
    程序包 use ieee std_logic_1164.all;
    实体 entity
    实体名 is
    结构体 architecture
    结构体名 of
    配置
  • 关注下方微信公众号,在线模考后查看

热门试题