试题详情
简答题VHDL语言中std_logic类型取值()表示高阻,取值‘X’表示不确定。
  • ‘Z’
  • 关注下方微信公众号,在线模考后查看

热门试题